高级检索
    张青, 刘成, 刘波, 黄海同, 王颖, 李华伟, 李晓维. 容错深度学习加速器跨层优化[J]. 计算机研究与发展. DOI: 10.7544/issn1000-1239.202331005
    引用本文: 张青, 刘成, 刘波, 黄海同, 王颖, 李华伟, 李晓维. 容错深度学习加速器跨层优化[J]. 计算机研究与发展. DOI: 10.7544/issn1000-1239.202331005
    Zhang Qing, Liu Cheng, Liu Bo, Huang Haitong, Wang Ying, Li Huawei, Li Xiaowei. Cross-Layer Optimization for Fault-Tolerant Deep Learning Accelerators[J]. Journal of Computer Research and Development. DOI: 10.7544/issn1000-1239.202331005
    Citation: Zhang Qing, Liu Cheng, Liu Bo, Huang Haitong, Wang Ying, Li Huawei, Li Xiaowei. Cross-Layer Optimization for Fault-Tolerant Deep Learning Accelerators[J]. Journal of Computer Research and Development. DOI: 10.7544/issn1000-1239.202331005

    容错深度学习加速器跨层优化

    Cross-Layer Optimization for Fault-Tolerant Deep Learning Accelerators

    • 摘要: 容错深度学习加速器是保障高可靠深度学习的基石,也是深度学习应用于安全关键领域如宇航、机器人等面临的一个关键环节. 然而,深度学习计算和访存都非常密集,传统基于冗余计算的容错方法直接应用于深度学习加速器的容错设计会导致严重的功耗、芯片面积等硬件资源开销. 为此,从神经元计算任务和神经元的数据位宽2个维度挖掘深度学习模型对于故障的敏感度差异,并利用这些差异从架构和电路层分别对于敏感的部分提供更多的保护,降低容错代价. 同时,利用深度学习自身的容错特性,通过限制量化缩小电路层需要保护的电路逻辑规模. 最后,利用贝叶斯优化,协同优化算法、架构和电路的跨层设计参数,在保障深度学习可靠性、精度以及性能的前提下,最小化硬件资源开销.

       

      Abstract: Fault-tolerant deep learning accelerator is the basis for highly reliable deep learning processing, and is also critical to deploy deep learning in safety-critical applications such as avionics and robotics. Since deep learning is known to be both computing- and memory-intensive, traditional fault-tolerant approaches based on redundant computing will incur substantial overhead including power consumption and chip area. To this end, we propose to characterize deep learning vulnerability difference across both neurons and bits of each neuron, and leverage the vulnerability difference to enable selective protection of the deep learning processing components from the perspective of architecture layer and circuit layer respectively for the sake of lower fault-tolerant design overhead. At the same time, we observe the correlation between model quantization and bit protection overhead of the underlying processing elements of deep learning accelerators, and propose to reduce the bit protection overhead by adding additional quantization constrain without compromising the model accuracy. Finally, we employ Bayesian optimization strategy to co-optimize the correlated cross-layer design parameters at algorithm layer, architecture layer, and circuit layer to minimize the hardware resource consumption while fulfilling multiple user constraints including reliability, accuracy, and performance of the deep learning processing at the same time.

       

    /

    返回文章
    返回