Advanced Search
    Li Bin, Dong Dezun, Wu Ji, Xia Jun. P-SMART: An Energy-Efficient NoC Router Based on SMART[J]. Journal of Computer Research and Development, 2016, 53(7): 1447-1453. DOI: 10.7544/issn1000-1239.2016.20160150
    Citation: Li Bin, Dong Dezun, Wu Ji, Xia Jun. P-SMART: An Energy-Efficient NoC Router Based on SMART[J]. Journal of Computer Research and Development, 2016, 53(7): 1447-1453. DOI: 10.7544/issn1000-1239.2016.20160150

    P-SMART: An Energy-Efficient NoC Router Based on SMART

    • As the number of on-chip cores in chip multiprocessors (CMPs) increase, size of network-on-chips (NoCs) and network latency increase. NoCs consume an increasing fraction of the chip power as technology and voltage continue to scale down, and static power consumes a larger fraction of the total power. Currently, processor designers strive to send under-utilized cores into deep sleep states in order to improve overall energy efficiency. However, even in state-of-the-art CMP designs, when a core going to sleep the router attached to it remains active in order to continue packet forwarding. The router attached to a sleeping core has low traffic load, due to no packets to or from sleeping core. An on-chip network called SMART (single-cycle multi-hop asynchronous repeated traversal) that aims to present a single-cycle data-path all the way from the source to the destination. This paper, we propose reducing the VC(virtual channel) of router that is attached to sleeping core, based on SMART NoC, reducing power consumption and bringing little performance penalty. We evaluate our network using synthetic traffics. Our evaluation results show that VC power gating increases network latency less than 2% when the workload is low, and compared with no bypass path network, the power is reduced about 13.4%.
    • loading

    Catalog

      Turn off MathJax
      Article Contents

      /

      DownLoad:  Full-Size Img  PowerPoint
      Return
      Return