Citation: | Wang Hao, Wang Yong, Feng Changlei, Gai Weixin, Wu Peng, Qian Jiang. Review of Chiplet Interconnection Technology[J]. Journal of Computer Research and Development. DOI: 10.7544/issn1000-1239.202440585 |
As the breaker of Moore’s Law, Chiplet technology has high expectations in the integrated circuit industry. Chiplet technology can combine multiple small chips with specific functions into a Chiplet integrated chip through high-speed interconnection technology, whose core technology is the Chiplet interconnection technology that can achieve Chiplet combination and expansion. This paper analyzes and discusses the Chiplet interconnection protocol, interconnection architecture, typical interconnection Chiplets, and testability design based on the interconnection Chiplet. Firstly, this paper provides a detailed comparison and analysis of domestic and foreign Chiplet interconnection protocols, and provides the layers and functions of each protocol. Secondly, this paper introduces three typical Chiplet interconnection architectures, and analyzes the characteristics and advantages of each architecture. Afterwards, the Chiplet fault-tolerant mechanism is introduced, including fault-tolerant encoding of interconnection interfaces, fault-tolerant topology, and fault-tolerant routing. Then, three types of interconnection Chiplet design schemes are presented, including programmable interconnection Chiplets, path programmable interconnection Chiplets, and fully customized interconnection Chiplets. Finally, a testability design testing scheme based on the interconnection Chiplet is introduced. This paper focuses on Chiplet interconnection and aims to help readers help readers have a systematic understanding of Chiplet interconnection technology.
[1] |
Naffziger S, Beck N, Burd T, et al. Pioneering Chiplet technology and design for the AMD EPYC™ and Ryzen™ processor families: Industrial product[C]//Proc of the 48th ACM/IEEE Annual Int Symp on Computer Architecture (ISCA). Piscataway, NJ: IEEE, 2021: 57−70
|
[2] |
Loh G H, Naffziger S, Lepak K. Understanding Chiplets today to anticipate future integration opportunities and limits[C]//Proc of the 24th Design, Automation & Test in Europe Conf & Exhibition (DATE). Piscataway, NJ: IEEE, 2021: 142−145
|
[3] |
Feng Yinxiao, Ma Kaisheng. Chiplet actuary: A quantitative cost model and multi-Chiplet architecture exploration[C]//Proc of the 59th ACM/IEEE Design Automation Conf. Piscataway, NJ: IEEE, 2022: 121−126
|
[4] |
Suggs D, Subramony M, Bouvier D. The AMD ‘zen 2’ processor[J]. IEEE Micro, 2020, 40(2): 45−52 doi: 10.1109/MM.2020.2974217
|
[5] |
Sharma D D, Pasdast G, Qian Zhiguo, et al. Universal Chiplet interconnect express (UCIe): An open industry standard for innovations with Chiplets at package level[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2022, 12(9): 1423−1431 doi: 10.1109/TCPMT.2022.3207195
|
[6] |
Liao Jie, Jiao Bo, Zhang Jinshan, et al. A scalable die-to-die interconnect with replay and repair schemes for 2.5D−3D integration[C]//Proc of the 56th IEEE Int Symp on Circuits and Systems (ISCAS). Piscataway, NJ: IEEE, 2023: 57−70
|
[7] |
Meng Hui, Zhao Qian, Yoshida T. A study of reconfigurable switch architecture for Chiplets interconnection[C]//Proc of the 10th International Symposium on Computing and Networking Workshops (CANDARW), NJ: IEEE, 2022: 69−75
|
[8] |
陈桂林,王观武,胡健,等. Chiplet封装结构与通信结构综述[J]. 计算机研究与发展,2022,59(1):22−30 doi: 10.7544/issn1000-1239.20200314
Chen Guilin, Wang Guanwu, Hu Jian, et al. Survey on Chiplet packaging structure and communication structure[J]. Journal of Computer Research and Development, 2022, 59(1): 22−30 (in Chinese) doi: 10.7544/issn1000-1239.20200314
|
[9] |
蒋剑飞,王琴,贺光辉,等. Chiplet技术研究与展望[J]. 微电子学与计算机,2022,39(1):1−6 doi: 10.3969/j.issn.1000-7180.2022.1.wdzxyjsj202201001
Jiang Jianfei, Wang Qin, He Guanghui, et al. Research and prospect on Chiplet technology[J]. Microelectronics & Computer, 2022, 39(1): 1−6 (in Chinese) doi: 10.3969/j.issn.1000-7180.2022.1.wdzxyjsj202201001
|
[10] |
李应选. Chiplet的现状和需要解决的问题[J]. 微电子学与计算机,2022,39(5):1−9 doi: 10.3969/j.issn.1000-7180.2022.5.wdzxyjsj202205001
Li Yingxuan. The state-of-the-art of Chiplet and problems need be solved[J]. Microelectronics & Computer, 2022, 39(5): 1−9 (in Chinese) doi: 10.3969/j.issn.1000-7180.2022.5.wdzxyjsj202205001
|
[11] |
Liu Yafei, Li Xiangyu, Yin Shouyi. Review of Chiplet-based design: System architecture and interconnection[J]. Science China Information Sciences, 2024, 67(10): 200401: 1−200401: 20
|
[12] |
解维坤,蔡志匡,刘小婷,等. 芯粒测试技术综述[J]. 电子与封装,2023,23(11):110101:1−110101:11
Xie Weikun, Cai Zhikuang, Liu Xiaoting, et al. Overview of Chiplet testing technology[J]. Electronics &Packaging, 2023, 23(11): 110101: 1−110101: 11 (in Chinese)
|
[13] |
集成芯片与芯粒技术白皮书)[EB/OL]. 2023 [2024-06-09]. https://www.gitlink.org.cn/zone/iChips/Source/12
White paper on integrated Chip and Chiplet technology [EB/OL]. 2023 [2024-06-09]. https://www.gitlink.org.cn/zone/iChips/Source/12 (in Chinese)
|
[14] |
Universal Chiplet interconnect express. Universal Chiplet interconnect express (UCIe) specification revision 1.1 [EB/OL]. (2023-07-10) [2024-06-10]. https://www.uciexpress.org/ucie-1-1-white-paper-download
|
[15] |
中国电子工业标准化技术协会. T/CESA 1248—2023 小芯片接口总线技术要求[S]. 北京:中国电子工业标准化技术协会,2023
China Electronics Standardization Association. T/CESA 1248—2023 Techical Requirement for Chiplet Interface Bus[S]. Beijing: China Electronics Standardization Association, 2023 (in Chinese)
|
[16] |
中国 Chiplet 产业联盟. T/ZGTXXH096−2024 芯粒互联接口标准[EB/OL]. 2022−12 [2024-06-12]. http://www.iiisct.com/smart/upload/ CMS1/202303/ACC1.0.pdf
Chiplet Industry Alliance of China. T/ZGTXXH096−2024 Advanced cost-driven Chiplet interface [EB/OL]. 2022−12 [2024-06-12]. http://www.iiisct.com/smart/upload/CMS1/202303/ACC1.0.pdf(in Chinese)
|
[17] |
Gomes W, Khushu S, Ingerly D B, et al. 8.1 lakefield and mobility compute: A 3Dstacked 10nm and 22FFL hybrid processor system in 12×12mm2, 1mm package-on-package[C]//Proc of the 67th ISSCC. Piscataway, NJ: IEEE, 2020: 144−146
|
[18] |
Beck N, White S, Paraschou M, et al. Zeppelin’: An SoC for multichip architectures[C]// Proc of the 65th ISSCC. Piscataway, NJ: IEEE, 2018: 40−41
|
[19] |
Naffziger S, Lepak K, Paraschou M, et al. 2.2 AMD Chiplet architecture for high-performance server and desktop products[C]//Proc of the 67th ISSCC. Piscataway, NJ: IEEE, 2020: 44−45
|
[20] |
Liao Heng, Tu Jiajin, Xia Jing, et al. Ascend: A scalable and unified architecture for ubiquitous deep neural network computing[C]//Proc of the 27th IEEE Int Symp on High-Performance Computer Architecture (HPCA). Piscataway, NJ: IEEE, 2021: 789−801
|
[21] |
Bossert M, Schulz R, Bitzer S. On hard and soft decision decoding of BCH codes[J]. IEEE Transactions on Information Theory, 2022, 68(11): 7107−7124 doi: 10.1109/TIT.2022.3184168
|
[22] |
Valls J, Torres V, Canet M J, et al. A test vector generation method based on symbol error probabilities for low-complexity Chase soft-decision Reed-Solomon decoding[J]. IEEE Transactions on Circuits and Systems I: Regular Papers, 2019, 66(6): 2198−2207 doi: 10.1109/TCSI.2018.2882876
|
[23] |
Zhang Xinmiao. VLSI architectures for Reed-Solomon codes: classic, nested, coupled, and beyond[J]. IEEE Open Journal of Circuits and Systems, 2020, 1: 157−169 doi: 10.1109/OJCAS.2020.3019403
|
[24] |
Gao Jiajing, Zhang Wei, Liu Yanyan, et al. High-performance concatenation decoding of Reed-Solomon codes with SPC codes[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2021, 29(9): 1670−1674 doi: 10.1109/TVLSI.2021.3097155
|
[25] |
Guo Jianzhong, Li Ruoxi, Zhang Yuan, et al. Hard-decision decoding algorithms for LDPC codes based on matching pursuit[J]. IEEE Communications Letters, 2024, 28(3): 454−457 doi: 10.1109/LCOMM.2023.3340560
|
[26] |
Arikan E. Channel Polarization: A method for constructing capacity achieving codes for symmetric binary-input memoryless channels[J]. IEEE Transactions on Information Theory, 2009, 55(7): 3051−3073 doi: 10.1109/TIT.2009.2021379
|
[27] |
Wang Ying, Han yinhe Zhang lei, et al. Economizing TSV resources in 3-D network-on-chip design[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014, 23(3): 493−506
|
[28] |
Fu Binzhang, Han Yinhe, Li Huawei, et al. Zonedefense: A fault-tolerant routing for 2-d meshes without virtual channels[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2013, 22(1): 113−126
|
[29] |
Xiang Dong, Chakrabarty K, Fujiwara H. Multicast-based testing and thermal-aware test scheduling for 3D ICs with a stacked network-on-chip[J]. IEEE Transactions on Computers, 2015, 65(9): 2767−2779
|
[30] |
Ni Tianming, Xu Qi, Huang Zhengfeng et al. A cost-effective TSV repair architecture for clustered faults in 3-D IC[J]. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 2020, 40(9): 1952−1956
|
[31] |
Tosun S, Ajabshir V B, Mercanoglu O, et al. Fault-tolerant topology generation method for application-specific network-on-chips[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2015, 34(9): 1495−1508 doi: 10.1109/TCAD.2015.2413848
|
[32] |
Ren Pengju, Ren Xiaowei, Sane S, et al. A deadlock-free and connectivity-guaranteed methodology for achieving fault-tolerance in on-chip networks[J]. IEEE Transactions on Computers, 2016, 65(2): 353−366 doi: 10.1109/TC.2015.2425887
|
[33] |
Chen Y, Chang E, Hsin H, et al. Path-diversity-aware fault-tolerant routing algorithm for network-on-chip systems[J]. IEEE Transactions on Parallel and Distributed Systems, 2017, 28(3): 838−849 doi: 10.1109/TPDS.2016.2588482
|
[34] |
王浩,王勇,冯长磊,等. 宇航高可靠高速互联芯粒:中国,CN202311597700.9[P/OL]. 2024-03-29 [2024-06-15]. https://d.wanfangdata.com.cn/patent/ChhQYXRlbnROZXdTMjAyNDExMjIxNjU4MjISEENOMjAyMzExNTk3NzAwLjkaCDduM3R0YjM5
Wang Hao, Wang Yong, Feng Changlei, et al. Aerospace high reliability and high-speed interconnection Chiplets. China, CN202311597700.9 [P/OL] . 2024-03-29 [2024-06-15]. https://d.wanfangdata.com.cn/patent/ChhQYXRlbnROZXdTMjAyNDExMjIxNjU4MjISEENOMjAyMzExNTk3NzAwLjkaCDduM3R0YjM5(in Chinese)
|
[35] |
IEEE Computer Society. IEEE 1149.1−2013 Test Technology Standards Committee. Test Access Port and Boundary-scan Architecture[S]. Piscataway, NJ: IEEE, 2013
|
[36] |
IEEE Computer Society. IEEE 1500−2005 Testability Method for Embedded Core-based Integrated Circuits[S]. Piscataway, NJ: IEEE, 2005
|
[37] |
IEEE Standards Association. IEEE 1687−2014 Access and Control of Instrumentation Embedded within A Semiconductor Device[S]. Piscataway, NJ: IEEE, 2014
|
[38] |
IEEE Computer Society. IEEE 1838−2019 Test Access Architecture for Three-dimensional Stacked Integrated Circuits[S]. Piscataway, NJ: IEEE, 2020
|
[39] |
Abdennadher S. Testing inter-Chiplet communication interconnects in a disaggregated SoC design[C]//Proc of the IEEE Int Conf on Design & Test of Integrated Micro & Nano-Systems (DTS). Piscataway, NJ: IEEE, 2021 [2024-06-13]. https://xplorestaging.ieee.org/document/9498132
|
[40] |
Chandra A, Khan M, Patidar A, et al. A Case study on IEEE 1838 compliant multi-Die 3DIC DFT implementation[C]//Proc of the IEEE International Test Conference (ITC). Piscataway, NJ: IEEE, 2023: 11−20
|
[41] |
McLaurin T, Cron A. Applying IEEE test sandards to multidie designs[J]. IEEE Design & Test, 2022, 39(5): 7−16
|
[42] |
Zhang Zhen, Wei Jinghe, Yin Yujia, et al. An improved test structure of boundary scan designed for 2.5D integration[C]//Proc of the 8th Int Conf on Integrated Circuits and Microsystems (ICICM). Piscataway, NJ: IEEE, 2023: 643−648
|
[43] |
Syed U S, Chakrabarty K, Chanadra A, et al. 3D-Scalable Adaptive Scan (3D-SAS)[C]//Proc of the IEEE Int 3D Systems Integration Conf (3DIC) . Piscataway, NJ: IEEE, 2012: 1−6
|