• 中国精品科技期刊
  • CCF推荐A类中文期刊
  • 计算领域高质量科技期刊T1类
Advanced Search
Li Kai, Zeng Kun, Rong Peitao, Chen Zhiqiang, Zhang Tian, Wang Yongwen. FireLink: An Evaluation Framework for Chiplet Design Space Exploration[J]. Journal of Computer Research and Development, 2025, 62(5): 1108-1122. DOI: 10.7544/issn1000-1239.202440082
Citation: Li Kai, Zeng Kun, Rong Peitao, Chen Zhiqiang, Zhang Tian, Wang Yongwen. FireLink: An Evaluation Framework for Chiplet Design Space Exploration[J]. Journal of Computer Research and Development, 2025, 62(5): 1108-1122. DOI: 10.7544/issn1000-1239.202440082

FireLink: An Evaluation Framework for Chiplet Design Space Exploration

Funds: This work was supported by the TDRCJH Program (22-TDRCJH-02-006), the National Natural Science Foundation of China for Young Scientists (NSFC-62202481), and the Research Program of National University of Defense Technology (ZK22-05)
More Information
  • Author Bio:

    Li Kai: born in 2000. PhD candidate. His main research interests include microprocessor architecture design and chip design space exploration

    Zeng Kun: born in 1983. PhD, associate professor. Member of CCF. His main research interests include microprocessor architecture and parallel computing

    Rong Peitao: born in 2000. Master candidate. His main research interests include microprocessor architecture design and FPGA accelerated simulation

    Chen Zhiqiang: born in 1998. PhD candidate. His main research interests include microprocessor architecture design and network on chip

    Zhang Tian: born in 1999. Master candidate. Her main research interest includes general sparse matrix multiplication

    Wang Yongwen: born in 1977. PhD, professor. Senior member of CCF. His main research interests include processor microarchitecture, and high performance computing

  • Received Date: February 01, 2024
  • Revised Date: October 10, 2024
  • Accepted Date: October 14, 2024
  • Available Online: October 21, 2024
  • The Chiplet-integrated chip based on advanced packaging technology offers a number of advantages in terms of manufacturing cost, design efficiency and special customization, etc. We represent a new and effective method of maintaining the performance growth of the chip in the post-Moore era. As an important method of quantitative analysis of architectural design, design space exploration (DSE) can assist designers in comprehending and evaluating the intricate interrelationships between design parameters. However, when applying the traditional DSE method directly to the Chiplet design, it gives rise to issues such as incomplete evaluation, inaccurate simulation, and low efficiency. The solution to these problems is FireLink, an evaluation framework for Chiplet design space exploration. FireLink supports the modelling and simulation of Chiplet microarchitectures and interconnection networks, and is capable of efficiently evaluating performance, power, area and cost metrics. Furthermore, experiments are conducted using ID3 (iterative dichotomiser 3) machine learning algorithm in this framework, which has been demonstrated to effectively improve the efficiency of DSE. In comparison with existing DSE methodologies, FireLink exhibits notable advantages in comprehensiveness of evaluating, completeness of modeling and efficiency of DSE, therefore designers can explore a wider range of design space in a shorter time, so as to select a better Chiplet design scheme.

  • [1]
    王占国. 后摩尔时代的微电子技术探讨[C/OL]//第十四届全国化合物半导体材料、微波器件和光电器件学术会议论文集. 北京:中国电子学会,2006[2024-02-01]. https://d.wanfangdata.com.cn/conference/6370041

    Wang Zhanguo. Discussion on microelectronics technology in the post-Moore era[C/OL]//Proc of the 14th National Conf on Compound Semiconductor Materials, Microwave Devices and Optoelectronic Devices. Beijing: Chinese Institute of Electronics, 2006[2024-02-01]. https://d.wanfangdata.com.cn/conference/6370041 (in Chinese)
    [2]
    DeBenedictis E P. It's time to redefine Moore’s law again[J]. Computer, 2017, 50(2): 72−75
    [3]
    Munoz R. Furthering Moore’s law integration benefits in the Chiplet era[J]. IEEE Design & Test, 2024, 41(1): 81−90
    [4]
    Psaila N, Nekkanty S, Shia D, et al. Detachable optical Chiplet connector for co-packaged photonics[J]. Journal of Lightwave Technology, 2023, 41(19): 6315−6323 doi: 10.1109/JLT.2023.3285149
    [5]
    Sharma D D, Pasdast G, Qian Zhiguo, et al. Universal Chiplet interconnect express (UCIe): An open industry standard for innovations with Chiplets at package level[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2022, 12(9): 1423−1431 doi: 10.1109/TCPMT.2022.3207195
    [6]
    Yoshida J. AMD, TSMC & IMEC show their Chiplet playbooks at ISSCC[EB/OL]. 2021[2024-02-01]. https://www.eetimes.com/amd-tsmc-imec-show-their-chiplet-playbooks-at-isscc/
    [7]
    Gaitonde D. AMD next-generation FPGA built from Chiplets[C/OL]//Proc of the 35th IEEE Hot Chips Symp (HCS). Piscataway, NJ: IEEE, 2023 [2024-02-01]. https://doi.org/10.1109/HCS59251.2023.10254722s
    [8]
    Suggs D, Subramony M, Bouvier D. The AMD “Zen 2” processor[J]. IEEE Micro, 2020, 40(2): 45−52 doi: 10.1109/MM.2020.2974217
    [9]
    刘新阳. 5G半导体产业发展和创新趋势思考[J]. 中兴通讯技术,2021,27(4):51−52

    Liu Xinyang. Thoughts on the development and innovation trend of 5G semiconductor industry[J]. ZTE Technology Journal, 2021, 27(4): 51−52 (in Chinese)
    [10]
    Kenji S, Zhang Xiaobo. Semiconductor optical amplifier and gain chip used in wavelength tunable lasers[J]. ZTE Communications, 2021, 19(3): 81−87
    [11]
    蔡济帆,徐增熠,迟楠. 可见光通信星座整形与人工智能解调技术[J]. 中兴通讯技术,2022,28(4):19−24

    Cai Jifan, Xu Zengyi, Chi Nan. Visible light communication constellation shaping and artificial intelligence demodulation technology[J]. ZTE Technology Journal, 2022, 28(4): 19−24 (in Chinese)
    [12]
    Zhang Chenchen, Zhang Nan, Cao Wei, et al. AI-based optimization of handover strategy in non-terrestrial networks[J]. ZTE Communications, 2021, 19(4): 98−104
    [13]
    Binkert N, Beckmann B, Black G, et al. The Gem5 simulator[J/OL]. ACM SIGARCH Computer Architecture News, 2011[2024-02-01]. https://doi.org/10.1145/2024716.2024718
    [14]
    Bakhoda A, Yuan G L, Fung W W L, et al. Analyzing CUDA workloads using a detailed GPU simulator[C]//Proc of the IEEE Int Symp on Performance Analysis of Systems and Software. Piscataway, NJ: IEEE, 2009: 163−174
    [15]
    Carlson T E, Heirman W, Eeckhout L. Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation[C/OL]//Proc of the Int Conf for High Performance Computing, Networking, Storage and Analysis (SC’11). Piscataway, NJ: IEEE, 2021 [2024-02-01]. https://doi.org/10.1145/2063384.2063454
    [16]
    Xu Yinan, Yu Zihao, Tang Dan, et al. Towards developing high performance RISC-V processors using agile methodology[J]. IEEE Micro, 2023, 43(4): 1−9 doi: 10.1109/MM.2023.3277902
    [17]
    徐昌宇. Chiplet和全新微架构走近英特尔全新至强处理器[J]. 微型计算机,2023(18):93−97

    Xu Changyu. Chiplet and new microarchitecture approach Intel’s new Xeon processor[J]. Micro Computers, 2023(18): 93−97 (in Chinese)
    [18]
    Feng Yinxiao, Ma Kaisheng. Chiplet Actuary: A quantitative cost model and multi-Chiplet architecture exploration[C]//Proc of the 59th ACM/IEEE Design Automation Conf (DAC '22). New York: ACM, 2022: 121−126
    [19]
    Ahmad M, DeLaCruz J, Ramamurthy A. Heterogeneous integration of Chiplets: Cost and yield tradeoff analysis[C/OL]//Proc of the 23rd Int Conf on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE). Piscataway, NJ: IEEE, 2022 [2024-02-01]. https://doi.org/10.1109/EuroSimE54907.2022.9758914
    [20]
    Kim J, Murali G, Park H, et al. Architecture, chip, and package codesign flow for interposer-based 2.5-D Chiplet integration enabling heterogeneous IP reuse[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2020, 28(11): 2424−2437 doi: 10.1109/TVLSI.2020.3015494
    [21]
    Kabir M A, Peng Yarui. Chiplet-package co-design for 2.5 D systems using standard ASIC CAD tools[C]//Proc of the 25th Asia and South Pacific Design Automation Conf (ASP-DAC). Piscataway, NJ: IEEE, 2020: 351−356
    [22]
    张乾龙,侯锐,杨思博,等. 体系结构模拟器在处理器设计过程中的作用[J]. 计算机研究与发展,2019,56(12):2702−2719

    Zhang Gianlong, Hou Rui, Yang Sibo, et al. The role of architecture simulators in processor design[J]. Journal of Computer Research and Development, 2019, 56(12): 2702−2719 (in Chinese)
    [23]
    周俊成. 面向CPUChiplet的联合模拟技术研究[D]. 武汉:华中科技大学,2022

    Zhou Juncheng. Research on joint simulation technology for CPU cores [D]. Wuhan: Huazhong University of Science and Technology, 2022 (in Chinese)
    [24]
    Zhi Haocong, Xu Xianuo, Han Weijian, et al. A methodology for simulating multi-Chiplet systems using open-source simulators[C/OL]//Proc of the 8th Annual ACM Int Conf on Nanoscale Computing and Communication (NANOCOM’21). New York: ACM, 2021[2024-02-01]. https://doi.org/10.1145/3477206.3477459
    [25]
    Bachrach J, Vo H, Richards B, et al. Chisel: Constructing hardware in a scala embedded language[C]//Proc of the Design Automation Conf. New York: ACM, 2012: 1212−1221
    [26]
    Bharadwaj S, Yin Jieming, Beckmann B, et al. Kite: A family of heterogeneous interposer topologies enabled via accurate interconnect modeling[C/OL]//Proc of the 57th ACM/EDAC/IEEE Design Automation Conf (DAC’20). Piscataway, NJ: IEEE, 2020[2024-02-01]. https://doi.org/10.1109/DAC18072.2020.9218539
    [27]
    Li Fuping, Wang Ying, Cheng Yuanqing, et al. Gia: A reusable general interposer architecture for agile Chiplet integration[C/OL]//Proc of the IEEE/ACM Int Conf on Computer Aided Design (ICCAD). Piscataway, NJ: IEEE, 2022[2024-02-01]. https://ieeexplore.ieee.org/document/10069926
    [28]
    Wang Mengdi, Wang Ying, Liu Cheng, et al. Network-on-interposer design for agile neural-network processor chip customization[C]//Proc of the 58th ACM/IEEE Design Automation Conf (DAC). Piscataway, NJ: IEEE, 2021: 49−54
    [29]
    Yin Jieming, Lin Zhifeng, Kayiran O, et al. Modular routing design for Chiplet-based system[C]//Proc of the 45th ACM/IEEE Annual Int Symp on Computer Architecture (ISCA). Piscataway, NJ: IEEE, 2018: 726−738
    [30]
    Wang Chenghan, Xu Qinzhi, Nie Chuanjun, et al. A multiscale anisotropic thermal model of Chiplet heterogeneous integration system[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2024, 32(1): 1−12
    [31]
    Liu Kaiyuan, Qin Houyun, Guo Jiarui, et al. Stress and fatigue life studies of solder joints in an advanced packaging with Chiplet[C]//Proc of the Int Conf on Mechatronics, IoT and Industrial Informatics (ICMIII). Piscataway, NJ: IEEE, 2023:187−191
    [32]
    张中,乔新宇,龙欣江,等. 2.5D Chiplet 封装结构的热应力研究[J]. 传感技术学报,2023,36(7):1024−1031

    Zhang Zhong, Qiao Xinyu, Long Xinjiang, et al. Research on thermal stress of 2.5D Chiplet package structure[J]. Journal of Sensing Technology, 2023, 36(7): 1024−1031 (in Chinese)
    [33]
    Pal S, Petrisko D, Kumar R, et al. Design space exploration for Chiplet-assembly-based processors[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2020, 28(4): 1062−1073 doi: 10.1109/TVLSI.2020.2968904
    [34]
    王梦迪,王颖,刘成,等. Puzzle:面向深度学习集成芯片的可扩展框架[J]. 计算机研究与发展,2023,60(6):1216−1231

    Wang Mengdi, Wang Ying, Liu Cheng, et al. Puzzle: A scalable framework for deep learning integrated chips[J]. Journal of Computer Research and Development, 2023, 60(6): 1216−1231(in Chinses
    [35]
    Ehrett P, Austin T, Bertacco V. Chopin: Composing cost-effective custom chips with algorithmic Chiplet[C]//Proc of the 39th IEEE Int Conf on Computer Design (ICCD). Piscataway, NJ: IEEE, 2021: 395−399
    [36]
    Lan Jingjing, Nambiar V P, Sabapathy R, et al. An automatic chip-package co-design flow for multi-core neuromorphic computing SiPs[C]//Proc of the 22nd IEEE Electronics Packaging Technology Conf (EPTC). Piscataway, NJ: IEEE, 2020: 77−80
    [37]
    Asanović K, Avižienis R, Bachrach J, et al. The rocket chip generator[R/OL]. 2016[2024-02-01]. http://www.eecs.berkeley.edu/Pubs/TechRpts/2016/EECS-2016-17.html
    [38]
    Zhao J, Agrawal A, Nikolic B, et al. Constellation: An open-source SoC-capable NoC generator[C/OL]//Proc of the 15th IEEE/ACM Int Workshop on Network on Chip Architectures (NoCArc). Piscataway, NJ: IEEE, 2022 [2024-02-01]. https://doi.org/10.1109/NoCArc57472.2022.9911299
    [39]
    Snyder W, Wasson P, Galbi D, et al. Verilator[CP/OL]. [2024-02-01]. https://github.com/verilator/verilator
    [40]
    Gonzalez A, Zhao J, Snyder T, et al. riscv-tools[CP/OL]. [2024-02-01]. https://github.com/ucb-bar/riscv-tools-feedstock
    [41]
    Karandikar S, Mao H, Kim D, et al. FireSim: FPGA-accelerated cycle-exact scale-out system simulation in the public cloud[J]. IEEE Micro, 2018, 39(3): 29−42
    [42]
    Pemberton N, Amid A. FireMarshal: Making HW/SW co-design reproducible and reliable[C]//Proc of the IEEE Int Symp on Performance Analysis of Systems and Software (ISPASS). Piscataway, NJ: IEEE,2021: 299−309
    [43]
    Amid A, Biancolin D, Gonzalez A, et al. Chipyard: Integrated design, simulation, and implementation framework for custom SoCs[J]. IEEE Micro, 2020, 40(4): 10−21 doi: 10.1109/MM.2020.2996616
    [44]
    Shah D, Hung E, Wolf C, et al. Yosys+nextpnr: An open source framework from verilog to bitstream for commercial FPGAs[C/OL]//Proc of the 27th IEEE Annual Int Symp on Field-Programmable Custom Computing Machines (FCCM). Piscataway, NJ: IEEE, 2019[2024-02-01]. https://doi.org/10.1109/FCCM.2019.00010
    [45]
    陈志强,周宏伟,冯权友,等. 面向多核处理器的可配置缓存一致性协议设计与实现[J]. 计算机研究与发展,2021,58(6):1166−1175

    Chen Zhiqiang, Zhou Hongwei, Feng Quanyou, et al. Design and implementation of configurable cache coherence protocol for multi-core processors[J]. Journal of Computer Research and Development, 2021, 58(6): 1166−1175 (in Chinese)
    [46]
    Kuang Huizhen, Cao Xianfeng, Li Jingyuan, et al. HGBO-DSE: Hierarchical GNN and Bayesian optimization based HLS design space exploration[C]// Proc of the Int Conf on Field Programmable Technology (ICFPT). Piscataway, NJ: IEEE, 2023: 106−114
    [47]
    Bai Chen, Sun Qi, Zhai Jianwang, et al. BOOM-Explorer: RISC-V BOOM microarchitecture design space exploration framework[C/OL]//Proc of the IEEE/ACM Int Conf on Computer Aided Design (ICCAD). Piscataway, NJ: IEEE, 2021[2024-02-01]. https://doi.org/10.1109/ICCAD51958.2021.9643455
    [48]
    Ye Hanchen, Jun H, Jeong H, et al. ScaleHLS: A scalable high-level synthesis framework with multi-level transformations and optimizations: invited[C]//Proc of the 59th ACM/IEEE Design Automation Conf (DAC’22). New York: ACM, 2022: 1355–1358
    [49]
    Sohrabizadeh A, Yu C H, Gao Min, et al. AutoDSE: Enabling software programmers design efficient FPGA accelerators[J]. ACM Transactions on Design Automation of Electronic Systems, 2022, 27(4): 1−27
    [50]
    Chen Shixin, Zheng Su, Bai Chen, et al. SoC-Tuner: An importance-guided exploration framework for DNN-targeting SoC design[C]//Proc of the 29th Asia and South Pacific Design Automation Conf (ASP-DAC). Piscataway, NJ: IEEE, 2024: 207−212
    [51]
    Minutoli M, Castellana V G, Tan Cheng, et al. SODA: A new synthesis infrastructure for agile hardware design of machine learning accelerators[C/OL]//Proc of the IEEE/ACM Int Conf on Computer Aided Design (ICCAD). Piscataway, NJ: IEEE, 2020[2024-02-01]. https://doi.org/10.1145/3400302.3415781
    [52]
    Deshwal A, Jayakodi A K, Joardar B K, et al. MOOS: A multi-objective design space exploration and optimization framework for NoC enabled manycore systems[J]. ACM Transactions on Embedded Computing Systems, 2019, 18(5): 1−23
    [53]
    陈桂林,王观武,胡健,等. Chiplet封装结构与通信结构综述[J]. 计算机研究与发展,2022,59(1):22−30

    Chen Guilin, Wang Guanwu, Hu Jian, et al. Survey on Chiplet packaging structure and communication structure[J]. Journal of Computer Research and Development, 2022, 59(1): 22−30 (in Chinese)
    [54]
    娄冕,张海金,杨靓,等. 基于Chisel语言的RISC-V处理器设计技术[J]. 微电子学与计算机,2021,38(3):51−55

    Lou Mian, Zhang Haijin, Yang Liang, et al. RISC-V processor design technology based on Chisel language[J]. Microelectronics and Computers, 2021, 38(3): 51−55 (in Chinses
    [55]
    邢座程,马安国,张承义,等. SoC体系结构级功耗模拟与优化技术[C]// 第十三届计算机工程与工艺会议论文集(NCCET’09). 北京:中国计算机学会,2009:161−166

    Xing Zuocheng, Ma Anguo, Zhang Chengyi, et al. SoC architecture-level power simulation and optimization techniques[C]//Proc of the 13th Computer Engineering and Technology Conf (NCCET’09). Beijing: CCF, 2009: 161−166 (in Chinses
    [56]
    Cadence. 3D-IC design solutions[EB/OL]. [2024-02-01]. https://www.cadence.com/en_US/home/solutions/3dic-design-solutions.html
    [57]
    Synopsys. 3D-IC compiler[EB/OL]. [2024-02-01]. https://www.synopsys.com/implementation-and-signoff/3dic-design.html
    [58]
    Quinlan J R. Induction of decision trees[J]. Machine Learning, 1986, 1(1): 81−106
    [59]
    Beamer S, Asanović K, Patterson D. The GAP benchmark suite[CP/OL]. [2024-02-01]. https://github.com/sbeamer/gapbs
    [60]
    Kurihara T, Li Yamin. A cost and performance analytical model for large-scale on-chip interconnection networks[C]//Proc of the 4th Int Symp on Computing and Networking (CANDAR). Piscataway, NJ: IEEE, 2016: 447−450
    [61]
    UC Berkeley. riscv-tests[CP/OL]. [2024-02-01]. https://github.com/riscv-software-src/riscv-tests
  • Related Articles

    [1]Lin Hanyue, Wu Jingya, Lu Wenyan, Zhong Langhui, Yan Guihai. Neptune: A Framework for Generic Network Processor Microarchitecture Modeling and Performance Simulation[J]. Journal of Computer Research and Development, 2025, 62(5): 1091-1107. DOI: 10.7544/issn1000-1239.202440084
    [2]Wang Duo, Liu Jinglei, Yan Mingyu, Teng Yihan, Han Dengke, Ye Xiaochun, Fan Dongrui. Acceleration Methods for Processor Microarchitecture Design Space Exploration: A Survey[J]. Journal of Computer Research and Development, 2025, 62(1): 22-57. DOI: 10.7544/issn1000-1239.202330348
    [3]Zhai Jianwang, Ling Zichao, Bai Chen, Zhao Kang, Yu Bei. Machine Learning for Microarchitecture Power Modeling and Design Space Exploration:A Survey[J]. Journal of Computer Research and Development, 2024, 61(6): 1351-1369. DOI: 10.7544/issn1000-1239.202440074
    [4]Li Zituo, Sun Jianbin, Yang Kewei, Xiong Dehui. A Review of Adversarial Robustness Evaluation for Image Classification[J]. Journal of Computer Research and Development, 2022, 59(10): 2164-2189. DOI: 10.7544/issn1000-1239.20220507
    [5]Xue Rui, Miao Futao, Ye Xiaochun, Sun Ninghui, Xu Wenxing. High Throughput MicroBenchmark Research for Processor MicroArchitecture Evaluation[J]. Journal of Computer Research and Development, 2018, 55(7): 1569-1583. DOI: 10.7544/issn1000-1239.2018.20170053
    [6]Hao Tingyi, Wu Heng, Wu Guoquan, Zhang Wenbo. Elastic Resource Provisioning Approach for Container in Micro-Service Architecture[J]. Journal of Computer Research and Development, 2017, 54(3): 597-608. DOI: 10.7544/issn1000-1239.2017.20151043
    [7]Lu Yemian, Ying Lingyun, Su Purui, Feng Dengguo, Jing Erxia, Gu Yacong. Security Analysis and Evaluation for the Usage of Settings Mechanism in Android[J]. Journal of Computer Research and Development, 2016, 53(10): 2248-2261. DOI: 10.7544/issn1000-1239.2016.20160449
    [8]Lü Huiying, Peng Wu, Wang Ruimei, Wang Jie. A Real-time Network Threat Recognition and Assessment Method Based on Association Analysis of Time and Space[J]. Journal of Computer Research and Development, 2014, 51(5): 1039-1049.
    [9]Peng Bo and Yan Hongfei. On Retrieval System Evaluation of Search Engines[J]. Journal of Computer Research and Development, 2005, 42(10): 1706-1711.
    [10]Zhuo Jiliang, Li Xianxian, Li Jianxin, and Huai Jinpeng. A New Taxonomy of Attacks on Security Protocols and Their Security Evaluation[J]. Journal of Computer Research and Development, 2005, 42(7): 1100-1107.
  • Cited by

    Periodical cited type(1)

    1. 刘韵洁,汪硕,黄韬,王佳森. 数算融合网络技术发展研究. 中国工程科学. 2025(01): 1-13 .

    Other cited types(0)

Catalog

    Article views (195) PDF downloads (109) Cited by(1)

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return